This is a live mirror of the Perl 5 development currently hosted at https://github.com/perl/perl5
config_sh.PL - support C99 math for mingw
[perl5.git] / ext / POSIX / t / math.t
CommitLineData
867bef19
SP
1#!perl -w
2
3use strict;
4
7658eeca 5use POSIX ':math_h_c99';
07bb61ac 6use POSIX ':nan_payload';
1a77755a 7use Test::More;
867bef19 8
1a917639
JH
9use Config;
10
b7b1e41b 11# These tests are mainly to make sure that these arithmetic functions
867bef19
SP
12# exist and are accessible. They are not meant to be an exhaustive
13# test for the interface.
14
1a77755a
NC
15sub between {
16 my ($low, $have, $high, $desc) = @_;
17 local $Test::Builder::Level = $Test::Builder::Level + 1;
18
19 cmp_ok($have, '>=', $low, $desc);
20 cmp_ok($have, '<=', $high, $desc);
21}
22
867bef19 23is(acos(1), 0, "Basic acos(1) test");
1a77755a
NC
24between(3.14, acos(-1), 3.15, 'acos(-1)');
25between(1.57, acos(0), 1.58, 'acos(0)');
867bef19 26is(asin(0), 0, "Basic asin(0) test");
1a77755a
NC
27cmp_ok(asin(1), '>', 1.57, "Basic asin(1) test");
28cmp_ok(asin(-1), '<', -1.57, "Basic asin(-1) test");
29cmp_ok(asin(1), '==', -asin(-1), 'asin(1) == -asin(-1)');
867bef19 30is(atan(0), 0, "Basic atan(0) test");
1a77755a
NC
31between(0.785, atan(1), 0.786, 'atan(1)');
32between(-0.786, atan(-1), -0.785, 'atan(-1)');
33cmp_ok(atan(1), '==', -atan(-1), 'atan(1) == -atan(-1)');
867bef19 34is(cosh(0), 1, "Basic cosh(0) test");
1a77755a
NC
35between(1.54, cosh(1), 1.55, 'cosh(1)');
36between(1.54, cosh(-1), 1.55, 'cosh(-1)');
37is(cosh(1), cosh(-1), 'cosh(1) == cosh(-1)');
867bef19 38is(floor(1.23441242), 1, "Basic floor(1.23441242) test");
1a77755a 39is(floor(-1.23441242), -2, "Basic floor(-1.23441242) test");
867bef19
SP
40is(fmod(3.5, 2.0), 1.5, "Basic fmod(3.5, 2.0) test");
41is(join(" ", frexp(1)), "0.5 1", "Basic frexp(1) test");
42is(ldexp(0,1), 0, "Basic ldexp(0,1) test");
43is(log10(1), 0, "Basic log10(1) test");
44is(log10(10), 1, "Basic log10(10) test");
45is(join(" ", modf(1.76)), "0.76 1", "Basic modf(1.76) test");
46is(sinh(0), 0, "Basic sinh(0) test");
1a77755a
NC
47between(1.17, sinh(1), 1.18, 'sinh(1)');
48between(-1.18, sinh(-1), -1.17, 'sinh(-1)');
867bef19 49is(tan(0), 0, "Basic tan(0) test");
1a77755a
NC
50between(1.55, tan(1), 1.56, 'tan(1)');
51between(1.55, tan(1), 1.56, 'tan(-1)');
52cmp_ok(tan(1), '==', -tan(-1), 'tan(1) == -tan(-1)');
867bef19 53is(tanh(0), 0, "Basic tanh(0) test");
1a77755a
NC
54between(0.76, tanh(1), 0.77, 'tanh(1)');
55between(-0.77, tanh(-1), -0.76, 'tanh(-1)');
56cmp_ok(tanh(1), '==', -tanh(-1), 'tanh(1) == -tanh(-1)');
57
fa17b3a6
AP
58SKIP: {
59 skip "no fpclassify", 4 unless $Config{d_fpclassify};
60 is(fpclassify(1), FP_NORMAL, "fpclassify 1");
61 is(fpclassify(0), FP_ZERO, "fpclassify 0");
85272d31
JH
62 SKIP: {
63 skip("no inf", 1) unless $Config{d_double_has_inf};
64 is(fpclassify(INFINITY), FP_INFINITE, "fpclassify INFINITY");
65 }
66 SKIP: {
67 skip("no nan", 1) unless $Config{d_double_has_nan};
68 is(fpclassify(NAN), FP_NAN, "fpclassify NAN");
69 }
fa17b3a6
AP
70}
71
8732b8db
JH
72sub near {
73 my ($got, $want, $msg, $eps) = @_;
74 $eps ||= 1e-6;
75 cmp_ok(abs($got - $want), '<', $eps, $msg);
76}
77
1a917639 78SKIP: {
249502ae 79 unless ($Config{d_acosh}) {
07bb61ac 80 skip "no acosh, suspecting no C99 math";
1a917639 81 }
bfce4ab3 82 if ($^O =~ /Win32|VMS/) {
07bb61ac 83 skip "running in $^O, C99 math support uneven";
bfce4ab3 84 }
8732b8db
JH
85 near(M_SQRT2, 1.4142135623731, "M_SQRT2", 1e-9);
86 near(M_E, 2.71828182845905, "M_E", 1e-9);
87 near(M_PI, 3.14159265358979, "M_PI", 1e-9);
88 near(acosh(2), 1.31695789692482, "acosh", 1e-9);
89 near(asinh(1), 0.881373587019543, "asinh", 1e-9);
90 near(atanh(0.5), 0.549306144334055, "atanh", 1e-9);
91 near(cbrt(8), 2, "cbrt", 1e-9);
92 near(cbrt(-27), -3, "cbrt", 1e-9);
93 near(copysign(3.14, -2), -3.14, "copysign", 1e-9);
94 near(expm1(2), 6.38905609893065, "expm1", 1e-9);
95 near(expm1(1e-6), 1.00000050000017e-06, "expm1", 1e-9);
39b5f1c4
JH
96 is(fdim(12, 34), 0, "fdim 12 34");
97 is(fdim(34, 12), 22, "fdim 34 12");
98 is(fmax(12, 34), 34, "fmax 12 34");
99 is(fmin(12, 34), 12, "fmin 12 34");
39b5f1c4 100 is(hypot(3, 4), 5, "hypot 3 4");
8732b8db 101 near(hypot(-2, 1), sqrt(5), "hypot -1 2", 1e-9);
39b5f1c4
JH
102 is(ilogb(255), 7, "ilogb 255");
103 is(ilogb(256), 8, "ilogb 256");
f0589851 104 ok(isfinite(1), "isfinite 1");
f0589851 105 ok(!isinf(42), "isinf 42");
f0589851 106 ok(!isnan(42), "isnan Inf");
94f8a147 107 SKIP: {
85272d31 108 skip("no inf", 4) unless $Config{d_double_has_inf};
94f8a147 109 ok(!isfinite(Inf), "isfinite Inf");
94f8a147
JH
110 ok(isinf(INFINITY), "isinf INFINITY");
111 ok(isinf(Inf), "isinf Inf");
85272d31
JH
112 ok(!isnan(Inf), "isnan Inf");
113 }
114 SKIP: {
115 skip("no nan", 5) unless $Config{d_double_has_nan};
116 ok(!isfinite(NaN), "isfinite NaN");
94f8a147
JH
117 ok(!isinf(NaN), "isinf NaN");
118 ok(isnan(NAN), "isnan NAN");
119 ok(isnan(NaN), "isnan NaN");
94f8a147
JH
120 cmp_ok(nan(), '!=', nan(), 'nan');
121 }
8732b8db
JH
122 near(log1p(2), 1.09861228866811, "log1p", 1e-9);
123 near(log1p(1e-6), 9.99999500000333e-07, "log1p", 1e-9);
124 near(log2(8), 3, "log2", 1e-9);
f0589851
JH
125 is(signbit(2), 0, "signbit 2"); # zero
126 ok(signbit(-2), "signbit -2"); # non-zero
bd294f64
JH
127 is(signbit(0), 0, "signbit 0"); # zero
128 is(signbit(0.5), 0, "signbit 0.5"); # zero
129 ok(signbit(-0.5), "signbit -0.5"); # non-zero
249502ae
JH
130 is(round(2.25), 2, "round 2.25");
131 is(round(-2.25), -2, "round -2.25");
132 is(round(2.5), 3, "round 2.5");
133 is(round(-2.5), -3, "round -2.5");
134 is(round(2.75), 3, "round 2.75");
135 is(round(-2.75), -3, "round 2.75");
bd294f64
JH
136 is(lround(-2.75), -3, "lround -2.75");
137 is(lround(-0.25), 0, "lround -0.25");
138 is(lround(-0.50), -1, "lround -0.50");
139 is(signbit(lround(-0.25)), 0, "signbit lround -0.25 zero");
140 ok(signbit(lround(-0.50)), "signbit lround -0.50 non-zero"); # non-zero
249502ae
JH
141 is(trunc(2.25), 2, "trunc 2.25");
142 is(trunc(-2.25), -2, "trunc -2.25");
143 is(trunc(2.5), 2, "trunc 2.5");
144 is(trunc(-2.5), -2, "trunc -2.5");
145 is(trunc(2.75), 2, "trunc 2.75");
146 is(trunc(-2.75), -2, "trunc -2.75");
3e2e323f
JH
147 ok(isless(1, 2), "isless 1 2");
148 ok(!isless(2, 1), "isless 2 1");
149 ok(!isless(1, 1), "isless 1 1");
3e2e323f
JH
150 ok(isgreater(2, 1), "isgreater 2 1");
151 ok(islessequal(1, 1), "islessequal 1 1");
94f8a147
JH
152
153 SKIP: {
85272d31 154 skip("no nan", 2) unless $Config{d_double_has_nan};
94f8a147
JH
155 ok(!isless(1, NaN), "isless 1 NaN");
156 ok(isunordered(1, NaN), "isunordered 1 NaN");
157 }
b97384f9
JH
158
159 near(erf(0.5), 0.520499877813047, "erf 0.5", 1.5e-7);
8732b8db 160 near(erf(1), 0.842700792949715, "erf 1", 1.5e-7);
b97384f9
JH
161 near(erf(9), 1, "erf 9", 1.5e-7);
162 near(erfc(0.5), 0.479500122186953, "erfc 0.5", 1.5e-7);
8732b8db 163 near(erfc(1), 0.157299207050285, "erfc 1", 1.5e-7);
b97384f9
JH
164 near(erfc(9), 0, "erfc 9", 1.5e-7);
165
166 # tgamma(n) = (n - 1)!
167 # lgamma(n) = log(tgamma(n))
168 near(tgamma(5), 24, "tgamma 5", 1.5e-7);
169 near(tgamma(5.5), 52.3427777845535, "tgamma 5.5", 1.5e-7);
8732b8db 170 near(tgamma(9), 40320, "tgamma 9", 1.5e-7);
b97384f9
JH
171 near(lgamma(5), 3.17805383034795, "lgamma 4", 1.5e-7);
172 near(lgamma(5.5), 3.95781396761872, "lgamma 5.5", 1.5e-7);
8732b8db 173 near(lgamma(9), 10.6046029027452, "lgamma 9", 1.5e-7);
43ce44e9 174
a262b72a 175 SKIP: {
85272d31 176 skip("no inf/nan", 19) unless $Config{d_double_has_inf} && $Config{d_double_has_nan};
3abfcf99 177
94f8a147
JH
178 # These don't work on old mips/hppa platforms
179 # because nan with payload zero == Inf (or == -Inf).
180 # ok(isnan(setpayload(0)), "setpayload zero");
181 # is(getpayload(setpayload(0)), 0, "setpayload + getpayload (zero)");
182 #
183 # These don't work on most platforms because == Inf (or == -Inf).
184 # ok(isnan(setpayloadsig(0)), "setpayload zero");
185 # is(getpayload(setpayloadsig(0)), 0, "setpayload + getpayload (zero)");
07bb61ac 186
94f8a147
JH
187 # Verify that the payload set be setpayload()
188 # (1) still is a nan
189 # (2) but the payload can be retrieved
190 # (3) but is not signaling
191 my $x = 0;
192 setpayload($x, 0x12345);
193 ok(isnan($x), "setpayload + isnan");
194 is(getpayload($x), 0x12345, "setpayload + getpayload");
195 ok(!issignaling($x), "setpayload + issignaling");
07bb61ac 196
94f8a147
JH
197 # Verify that the signaling payload set be setpayloadsig()
198 # (1) still is a nan
199 # (2) but the payload can be retrieved
200 # (3) and is signaling
201 setpayloadsig($x, 0x12345);
202 ok(isnan($x), "setpayloadsig + isnan");
203 is(getpayload($x), 0x12345, "setpayloadsig + getpayload");
204 SKIP: {
205 # https://rt.perl.org/Ticket/Display.html?id=125710
206 # In the 32-bit x86 ABI cannot preserve the signaling bit
207 # (the x87 simply does not preserve that). But using the
208 # 80-bit extended format aka long double, the bit is preserved.
209 # https://gcc.gnu.org/bugzilla/show_bug.cgi?id=57484
210 my $could_be_x86_32 =
211 # This is a really weak test: there are other 32-bit
212 # little-endian platforms than just Intel (some embedded
213 # processors, for example), but we use this just for not
214 # bothering with the test if things look iffy.
215 # We could, say, $Config{ccsymbols} =~ /\b__[xi][3-7]86=1\b/,
216 # but that feels quite shaky.
217 $Config{byteorder} =~ /1234/ &&
218 $Config{longdblkind} == 3 &&
219 $Config{ptrsize} == 4;
220 skip($^O, 1) if $could_be_x86_32 && !$Config{uselongdouble};
221 ok(issignaling($x), "setpayloadsig + issignaling");
222 }
223
224 # Try a payload more than one byte.
225 is(getpayload(nan(0x12345)), 0x12345, "nan + getpayload");
226
227 # Try payloads of 2^k, most importantly at and beyond 2^32. These
228 # tests will fail if NV is just 32-bit float, but that Should Not
229 # Happen (tm).
230 is(getpayload(nan(2**31)), 2**31, "nan + getpayload 2**31");
231 is(getpayload(nan(2**32)), 2**32, "nan + getpayload 2**32");
232 is(getpayload(nan(2**33)), 2**33, "nan + getpayload 2**33");
07bb61ac 233
94f8a147
JH
234 # Payloads just lower than 2^k.
235 is(getpayload(nan(2**31-1)), 2**31-1, "nan + getpayload 2**31-1");
236 is(getpayload(nan(2**32-1)), 2**32-1, "nan + getpayload 2**32-1");
07bb61ac 237
94f8a147 238 # Payloads not divisible by two (and larger than 2**32).
07bb61ac
JH
239
240 SKIP: {
241 # solaris gets 10460353202 from getpayload() when it should
242 # get 10460353203 (the 3**21). Things go wrong already in
243 # the nan() payload setting: [0x2, 0x6f7c52b4] (ivsize=4)
244 # instead [0x2, 0x6f7c52b3]. Then at getpayload() things
245 # go wrong again, now in other direction: with the (wrong)
246 # [0x2, 0x6f7c52b4] encoded in the nan we should decode into
247 # 10460353204, but we get 10460353202. It doesn't seem to
248 # help even if we use 'unsigned long long' instead of UV/U32
249 # in the POSIX.xs:S_setpayload/S_getpayload.
250 #
251 # casting bug? fmod() bug? Though also broken with
252 # -Duselongdouble + fmodl(), so maybe Solaris cc bug
253 # in general?
254 #
255 # Ironically, the large prime seems to work even in Solaris,
256 # probably just by blind luck.
257 skip($^O, 1) if $^O eq 'solaris';
258 is(getpayload(nan(3**21)), 3**21, "nan + getpayload 3**21");
94f8a147
JH
259 }
260 is(getpayload(nan(4294967311)), 4294967311, "nan + getpayload prime");
07bb61ac 261
94f8a147
JH
262 # Truncates towards zero.
263 is(getpayload(nan(1234.567)), 1234, "nan (trunc) + getpayload");
07bb61ac 264
94f8a147
JH
265 # Not signaling.
266 ok(!issignaling(0), "issignaling zero");
267 ok(!issignaling(+Inf), "issignaling +Inf");
268 ok(!issignaling(-Inf), "issignaling -Inf");
269 ok(!issignaling(NaN), "issignaling NaN");
270 }
43ce44e9 271} # SKIP
1a917639 272
1a77755a 273done_testing();