This is a live mirror of the Perl 5 development currently hosted at https://github.com/perl/perl5
Eliminate empty conditional branch
[perl5.git] / win32 / config.vc
CommitLineData
392c4dfd 1## Configured by: ~cf_email~
b7d8baf2 2## Target system: WIN32
08aa1457 3Author=''
08aa1457 4Date='$Date'
5Header=''
6Id='$Id'
7Locker=''
8Log='$Log'
08aa1457 9RCSfile='$RCSfile'
10Revision='$Revision'
08aa1457 11Source=''
12State=''
07ba5892
GS
13_a='.lib'
14_exe='.exe'
15_o='.obj'
08aa1457 16afs='false'
a6d26a0d 17afsroot='/afs'
08aa1457 18alignbytes='8'
19aphostname=''
3635cd84
GS
20api_revision='~PERL_API_REVISION~'
21api_subversion='~PERL_API_SUBVERSION~'
22api_version='~PERL_API_VERSION~'
23api_versionstring='~PERL_API_REVISION~.~PERL_API_VERSION~.~PERL_API_SUBVERSION~'
a9e3f359 24ar='lib -ltcg'
0cb96387
GS
25archlib='~INST_TOP~~INST_VER~\lib~INST_ARCH~'
26archlibexp='~INST_TOP~~INST_VER~\lib~INST_ARCH~'
104f9d64 27archname64=''
07ba5892 28archname='MSWin32'
08aa1457 29archobjs=''
10bc17b6 30asctime_r_proto='0'
08aa1457 31awk='awk'
273cf8d1 32baserev='5'
08aa1457 33bash=''
0cb96387
GS
34bin='~INST_TOP~~INST_VER~\bin~INST_ARCH~'
35binexp='~INST_TOP~~INST_VER~\bin~INST_ARCH~'
08aa1457 36bison=''
9d1a8da0 37bootstrap_charset='undef'
08aa1457 38byacc='byacc'
39byteorder='1234'
40c=''
41castflags='0'
f7c603cb 42cat='type'
66a514d8 43cc='cl'
d55594ae 44cccdlflags=' '
08aa1457 45ccdlflags=' '
07ba5892 46ccflags='-MD -DWIN32'
8839b9e4 47ccflags_uselargefiles=''
66a514d8 48ccname='~cc~'
c4d53692 49ccsymbols=''
8839b9e4 50ccversion=''
dc050285
GS
51cf_by='nobody'
52cf_email='nobody@no.where.net'
53cf_time=''
c193ef60 54charbits='8'
08aa1457 55chgrp=''
56chmod=''
57chown=''
58clocktype='clock_t'
f7c603cb 59comm=''
08aa1457 60compress=''
61contains='grep'
f7c603cb 62cp='copy'
08aa1457 63cpio=''
66a514d8 64cpp='~cc~ -nologo -E'
08aa1457 65cpp_stuff='42'
c4d53692 66cppccsymbols=''
07ba5892 67cppflags='-DWIN32'
08aa1457 68cpplast=''
69cppminus=''
66a514d8 70cpprun='~cc~ -nologo -E'
6643fc8c 71cppstdin='cppstdin'
c4d53692 72cppsymbols=''
10bc17b6 73crypt_r_proto='0'
08aa1457 74cryptlib=''
75csh='undef'
10bc17b6
JH
76ctermid_r_proto='0'
77ctime_r_proto='0'
08aa1457 78d_Gconvert='sprintf((b),"%.*g",(n),(x))'
6b356c8e
JH
79d_PRIEUldbl='undef'
80d_PRIFUldbl='undef'
81d_PRIGUldbl='undef'
890b5089
SH
82d_PRIXU64='define'
83d_PRId64='define'
9c19a6c7
SH
84d_PRIeldbl='undef'
85d_PRIfldbl='undef'
86d_PRIgldbl='undef'
890b5089
SH
87d_PRIi64='define'
88d_PRIo64='define'
89d_PRIu64='define'
90d_PRIx64='define'
9c19a6c7 91d_SCNfldbl='undef'
2ef53570 92d__fwalk='undef'
5c7252f4 93d_accept4='undef'
08aa1457 94d_access='define'
327c3667 95d_accessx='undef'
40613a90 96d_acosh='undef'
e10940c3 97d_aintl='undef'
8fb3fcfb 98d_alarm='define'
e5a95ffb 99d_archlib='define'
96938616 100d_asctime64='undef'
10bc17b6 101d_asctime_r='undef'
3adf3699
JH
102d_asinh='undef'
103d_atanh='undef'
e1f15930
GS
104d_atolf='undef'
105d_atoll='undef'
fcdf39cf 106d_attribute_deprecated='undef'
0dbb1585
AL
107d_attribute_format='undef'
108d_attribute_malloc='undef'
109d_attribute_nonnull='undef'
110d_attribute_noreturn='undef'
111d_attribute_pure='undef'
112d_attribute_unused='undef'
113d_attribute_warn_unused_result='undef'
470dd224 114d_backtrace='undef'
08aa1457 115d_bsd='define'
116d_bsdgetpgrp='undef'
08aa1457 117d_bsdsetpgrp='undef'
c94a4d04 118d_builtin_add_overflow='undef'
df012815
SP
119d_builtin_choose_expr='undef'
120d_builtin_expect='undef'
c94a4d04
MB
121d_builtin_mul_overflow='undef'
122d_builtin_sub_overflow='undef'
3bf969c5 123d_c99_variadic_macros='undef'
2cdbc966 124d_casti32='undef'
08aa1457 125d_castneg='define'
3adf3699 126d_cbrt='undef'
08aa1457 127d_chown='undef'
128d_chroot='undef'
129d_chsize='define'
758a5d79 130d_class='undef'
96803be7 131d_clearenv='undef'
08aa1457 132d_closedir='define'
4e0554ec 133d_cmsghdr_s='undef'
2ef53570 134d_const='define'
3adf3699 135d_copysign='undef'
e10940c3 136d_copysignl='undef'
666ea192 137d_cplusplus='undef'
9cef8306 138d_crypt='define'
955d1b3d 139d_crypt_r='undef'
08aa1457 140d_csh='undef'
96938616 141d_ctermid='undef'
955d1b3d 142d_ctermid_r='undef'
96938616 143d_ctime64='undef'
10bc17b6 144d_ctime_r='undef'
08aa1457 145d_cuserid='undef'
146d_dbl_dig='define'
2ef53570 147d_dbminitproto='undef'
96938616 148d_difftime64='undef'
08aa1457 149d_difftime='define'
de52168c 150d_dir_dd_fd='undef'
0543dcd8 151d_dirfd='undef'
08aa1457 152d_dirnamlen='define'
470dd224 153d_dladdr='undef'
08aa1457 154d_dlerror='define'
155d_dlopen='define'
156d_dlsymun='undef'
157d_dosuid='undef'
4cb05021
JH
158d_double_has_inf='define'
159d_double_has_nan='define'
160d_double_has_negative_zero='define'
161d_double_has_subnormals='define'
162d_double_style_cray='undef'
163d_double_style_ibm='undef'
164d_double_style_ieee='define'
165d_double_style_vax='undef'
96938616 166d_drand48_r='undef'
955d1b3d 167d_drand48proto='undef'
08aa1457 168d_dup2='define'
5c7252f4 169d_dup3='undef'
0faf531f 170d_duplocale='undef'
327c3667 171d_eaccess='undef'
96938616 172d_endgrent='undef'
955d1b3d 173d_endgrent_r='undef'
0cd52aa3 174d_endhent='undef'
10bc17b6 175d_endhostent_r='undef'
0cd52aa3 176d_endnent='undef'
10bc17b6 177d_endnetent_r='undef'
0cd52aa3 178d_endpent='undef'
10bc17b6 179d_endprotoent_r='undef'
96938616 180d_endpwent='undef'
955d1b3d 181d_endpwent_r='undef'
0cd52aa3 182d_endsent='undef'
10bc17b6 183d_endservent_r='undef'
08aa1457 184d_eofnblk='define'
3adf3699
JH
185d_erf='undef'
186d_erfc='undef'
08aa1457 187d_eunice='undef'
3adf3699
JH
188d_exp2='undef'
189d_expm1='undef'
1de32f2a 190d_faststdio='define'
b363b713 191d_fchdir='undef'
08aa1457 192d_fchmod='undef'
d226c0a2 193d_fchmodat='undef'
08aa1457 194d_fchown='undef'
96938616 195d_fcntl='undef'
7ee20c71 196d_fcntl_can_lock='undef'
08aa1457 197d_fd_macros='define'
96938616 198d_fd_set='define'
8b8c6ab7 199d_fdclose='undef'
3adf3699 200d_fdim='undef'
955d1b3d 201d_fds_bits='define'
2f6b96eb 202d_fegetround='undef'
08aa1457 203d_fgetpos='define'
96938616 204d_finite='undef'
7ee20c71 205d_finitel='undef'
08aa1457 206d_flexfnam='define'
207d_flock='define'
6e21dc91 208d_flockproto='define'
3adf3699
JH
209d_fma='undef'
210d_fmax='undef'
211d_fmin='undef'
08aa1457 212d_fork='undef'
955d1b3d 213d_fp_class='undef'
add06237 214d_fp_classify='undef'
b19ee02c 215d_fp_classl='undef'
08aa1457 216d_fpathconf='undef'
955d1b3d 217d_fpclass='undef'
758a5d79
JH
218d_fpclassify='undef'
219d_fpclassl='undef'
4c1a9b0c 220d_fpgetround='undef'
e1f15930 221d_fpos64_t='undef'
ecb44b8e 222d_freelocale='undef'
a3540c92 223d_frexpl='undef'
ea442100 224d_fs_data_s='undef'
327c3667 225d_fseeko='undef'
08aa1457 226d_fsetpos='define'
ea442100
JH
227d_fstatfs='undef'
228d_fstatvfs='undef'
411ab01c 229d_fsync='undef'
327c3667 230d_ftello='undef'
07ba5892 231d_ftime='define'
91180f33 232d_futimes='undef'
0cc74f39 233d_gai_strerror='undef'
622e1e49
MHM
234d_gdbm_ndbm_h_uses_prototypes='undef'
235d_gdbmndbm_h_uses_prototypes='undef'
d7fba2bf 236d_getaddrinfo='undef'
89423764 237d_getcwd='define'
3813c136 238d_getespwnam='undef'
ea442100 239d_getfsstat='undef'
96938616 240d_getgrent='undef'
955d1b3d 241d_getgrent_r='undef'
10bc17b6
JH
242d_getgrgid_r='undef'
243d_getgrnam_r='undef'
08aa1457 244d_getgrps='undef'
fea7140c
GS
245d_gethbyaddr='define'
246d_gethbyname='define'
07ba5892
GS
247d_gethent='undef'
248d_gethname='define'
10bc17b6
JH
249d_gethostbyaddr_r='undef'
250d_gethostbyname_r='undef'
251d_gethostent_r='undef'
bdaec6b3 252d_gethostprotos='define'
4e0554ec 253d_getitimer='undef'
07ba5892 254d_getlogin='define'
10bc17b6 255d_getlogin_r='undef'
ea442100
JH
256d_getmnt='undef'
257d_getmntent='undef'
d7fba2bf 258d_getnameinfo='undef'
fea7140c
GS
259d_getnbyaddr='undef'
260d_getnbyname='undef'
0cd52aa3 261d_getnent='undef'
10bc17b6
JH
262d_getnetbyaddr_r='undef'
263d_getnetbyname_r='undef'
264d_getnetent_r='undef'
bdaec6b3 265d_getnetprotos='undef'
0c0643d0 266d_getpagsz='undef'
fea7140c
GS
267d_getpbyname='define'
268d_getpbynumber='define'
0cd52aa3 269d_getpent='undef'
07ba5892 270d_getpgid='undef'
08aa1457 271d_getpgrp2='undef'
272d_getpgrp='undef'
08aa1457 273d_getppid='undef'
274d_getprior='undef'
10bc17b6
JH
275d_getprotobyname_r='undef'
276d_getprotobynumber_r='undef'
277d_getprotoent_r='undef'
bdaec6b3 278d_getprotoprotos='define'
3813c136 279d_getprpwnam='undef'
96938616 280d_getpwent='undef'
955d1b3d 281d_getpwent_r='undef'
10bc17b6
JH
282d_getpwnam_r='undef'
283d_getpwuid_r='undef'
07ba5892
GS
284d_getsbyname='define'
285d_getsbyport='define'
0cd52aa3 286d_getsent='undef'
10bc17b6
JH
287d_getservbyname_r='undef'
288d_getservbyport_r='undef'
289d_getservent_r='undef'
bdaec6b3 290d_getservprotos='define'
96938616 291d_getspnam='undef'
955d1b3d 292d_getspnam_r='undef'
57ab3dfe 293d_gettimeod='define'
96938616 294d_gmtime64='undef'
10bc17b6 295d_gmtime_r='undef'
fe749a9f 296d_gnulibc='undef'
93341792 297d_grpasswd='undef'
ea442100 298d_hasmntopt='undef'
08aa1457 299d_htonl='define'
3adf3699
JH
300d_hypot='undef'
301d_ilogb='undef'
e10940c3 302d_ilogbl='undef'
a04d9d89 303d_inc_version_list='undef'
08aa1457 304d_index='undef'
305d_inetaton='undef'
d7fba2bf
MB
306d_inetntop='undef'
307d_inetpton='undef'
93342173 308d_int64_t='undef'
122b9bf4 309d_ip_mreq='undef'
3e06601f 310d_ip_mreq_source='undef'
18126d98 311d_ipv6_mreq='undef'
3e06601f 312d_ipv6_mreq_source='undef'
08aa1457 313d_isascii='define'
269a7913 314d_isblank='undef'
758a5d79 315d_isfinite='undef'
fa484e60 316d_isfinitel='undef'
758a5d79 317d_isinf='undef'
fa484e60 318d_isinfl='undef'
f40bbcbf 319d_isless='undef'
a3540c92
JH
320d_isnan='define'
321d_isnanl='undef'
3adf3699 322d_isnormal='undef'
4671125a
JH
323d_j0='undef'
324d_j0l='undef'
542cb85f 325d_killpg='define'
b15c1b56 326d_lc_monetary_2008='undef'
dd64f1c3 327d_lchown='undef'
fe749a9f 328d_ldbl_dig='define'
688e39e5 329d_ldexpl='undef'
3adf3699
JH
330d_lgamma='undef'
331d_lgamma_r='undef'
f024374a 332d_libm_lib_version='undef'
6b980173 333d_link='define'
d226c0a2 334d_linkat='undef'
3adf3699 335d_llrint='undef'
bc580dd3 336d_llrintl='undef'
3adf3699 337d_llround='undef'
bc580dd3 338d_llroundl='undef'
c94a4d04 339d_localeconv_l='undef'
96938616 340d_localtime64='undef'
96938616 341d_localtime_r='undef'
955d1b3d 342d_localtime_r_needs_tzset='undef'
08aa1457 343d_locconv='define'
344d_lockf='undef'
3adf3699
JH
345d_log1p='undef'
346d_log2='undef'
347d_logb='undef'
4cb05021
JH
348d_long_double_style_ieee='undef'
349d_long_double_style_ieee_doubledouble='undef'
350d_long_double_style_ieee_extended='define'
351d_long_double_style_ieee_std='undef'
352d_long_double_style_vax='undef'
0cd52aa3 353d_longdbl='define'
bdaec6b3 354d_longlong='undef'
3adf3699 355d_lrint='undef'
bc580dd3 356d_lrintl='undef'
3adf3699 357d_lround='undef'
bc580dd3 358d_lroundl='undef'
c890dc6c 359d_lseekproto='define'
08aa1457 360d_lstat='undef'
1e8c3fde 361d_madvise='undef'
703fb0e2
SH
362d_malloc_good_size='undef'
363d_malloc_size='undef'
08aa1457 364d_mblen='define'
c94a4d04
MB
365d_mbrlen='undef'
366d_mbrtowc='undef'
08aa1457 367d_mbstowcs='define'
368d_mbtowc='define'
3879c54d 369d_memmem='undef'
28ebb8fb 370d_memrchr='undef'
08aa1457 371d_mkdir='define'
fe749a9f 372d_mkdtemp='undef'
08aa1457 373d_mkfifo='undef'
226394c3 374d_mkostemp='undef'
96938616 375d_mkstemp='undef'
955d1b3d 376d_mkstemps='undef'
96938616 377d_mktime64='undef'
08aa1457 378d_mktime='define'
fe749a9f 379d_mmap='undef'
955d1b3d 380d_modfl='undef'
e67aeab1 381d_modfl_pow32_bug='undef'
392c4dfd 382d_modflproto='undef'
fe749a9f 383d_mprotect='undef'
7ee20c71 384d_msg='undef'
c4d53692
GS
385d_msg_ctrunc='undef'
386d_msg_dontroute='undef'
387d_msg_oob='undef'
388d_msg_peek='undef'
389d_msg_proxy='undef'
7ee20c71
NC
390d_msgctl='undef'
391d_msgget='undef'
392d_msghdr_s='undef'
75d58f20
GS
393d_msgrcv='undef'
394d_msgsnd='undef'
fe749a9f
JH
395d_msync='undef'
396d_munmap='undef'
37c1c645 397d_mymalloc='undef'
3adf3699 398d_nan='undef'
c94a4d04 399d_nanosleep='undef'
955d1b3d 400d_ndbm='undef'
622e1e49 401d_ndbm_h_uses_prototypes='undef'
3adf3699 402d_nearbyint='undef'
ecb44b8e 403d_newlocale='undef'
3adf3699
JH
404d_nextafter='undef'
405d_nexttoward='undef'
08aa1457 406d_nice='undef'
2765b840 407d_nl_langinfo='undef'
93342173 408d_nv_preserves_uv='define'
c9be5486 409d_nv_zero_is_allbits_zero='define'
e1f15930 410d_off64_t='undef'
c4d53692 411d_old_pthread_create_joinable='undef'
07ba5892 412d_oldpthreads='undef'
08aa1457 413d_oldsock='undef'
414d_open3='undef'
d226c0a2 415d_openat='undef'
08aa1457 416d_pathconf='undef'
417d_pause='define'
3b777bb4 418d_perl_otherlibdirs='undef'
08aa1457 419d_phostname='undef'
5c7252f4 420d_pipe2='undef'
08aa1457 421d_pipe='define'
422d_poll='undef'
423d_portable='define'
8f13cdc7
MB
424d_prctl='undef'
425d_prctl_set_name='undef'
63cdf24b 426d_printf_format_null='undef'
e9a8643a 427d_procselfexe='undef'
27bdbd07 428d_pseudofork='undef'
d6483fcc 429d_pthread_atfork='undef'
e0e3c57a 430d_pthread_attr_setscope='undef'
07ba5892 431d_pthread_yield='undef'
d0b86e2f 432d_ptrdiff_t='define'
08aa1457 433d_pwage='undef'
434d_pwchange='undef'
435d_pwclass='undef'
436d_pwcomment='undef'
437d_pwexpire='undef'
c4f23d77 438d_pwgecos='undef'
93341792 439d_pwpasswd='undef'
539a3d6c 440d_pwquota='undef'
bbe6c963 441d_qgcvt='undef'
83ff24d4 442d_quad='define'
bc900e45 443d_querylocale='undef'
10bc17b6
JH
444d_random_r='undef'
445d_readdir64_r='undef'
08aa1457 446d_readdir='define'
10bc17b6 447d_readdir_r='undef'
08aa1457 448d_readlink='undef'
4e0554ec
JH
449d_readv='undef'
450d_recvmsg='undef'
f40bbcbf 451d_regcomp='undef'
3adf3699
JH
452d_remainder='undef'
453d_remquo='undef'
08aa1457 454d_rename='define'
d226c0a2 455d_renameat='undef'
08aa1457 456d_rewinddir='define'
3adf3699 457d_rint='undef'
08aa1457 458d_rmdir='define'
3adf3699 459d_round='undef'
ef9f17be 460d_sbrkproto='undef'
3adf3699 461d_scalbn='undef'
e10940c3 462d_scalbnl='undef'
07ba5892 463d_sched_yield='undef'
c4d53692 464d_scm_rights='undef'
08aa1457 465d_seekdir='define'
466d_select='define'
467d_sem='undef'
75d58f20 468d_semctl='undef'
bd89102f
AD
469d_semctl_semid_ds='undef'
470d_semctl_semun='undef'
75d58f20
GS
471d_semget='undef'
472d_semop='undef'
4e0554ec 473d_sendmsg='undef'
08aa1457 474d_setegid='undef'
475d_seteuid='undef'
93341792 476d_setgrent='undef'
10bc17b6 477d_setgrent_r='undef'
07ba5892
GS
478d_setgrps='undef'
479d_sethent='undef'
10bc17b6 480d_sethostent_r='undef'
4e0554ec 481d_setitimer='undef'
08aa1457 482d_setlinebuf='undef'
483d_setlocale='define'
10bc17b6 484d_setlocale_r='undef'
0cd52aa3 485d_setnent='undef'
10bc17b6 486d_setnetent_r='undef'
0cd52aa3 487d_setpent='undef'
08aa1457 488d_setpgid='undef'
489d_setpgrp2='undef'
490d_setpgrp='undef'
491d_setprior='undef'
8839b9e4 492d_setproctitle='undef'
10bc17b6 493d_setprotoent_r='undef'
fe749a9f 494d_setpwent='undef'
10bc17b6 495d_setpwent_r='undef'
08aa1457 496d_setregid='undef'
497d_setresgid='undef'
498d_setresuid='undef'
499d_setreuid='undef'
500d_setrgid='undef'
501d_setruid='undef'
0cd52aa3 502d_setsent='undef'
10bc17b6 503d_setservent_r='undef'
08aa1457 504d_setsid='undef'
0cd52aa3 505d_setvbuf='define'
08aa1457 506d_shm='undef'
507d_shmat='undef'
508d_shmatprototype='undef'
75d58f20
GS
509d_shmctl='undef'
510d_shmdt='undef'
511d_shmget='undef'
08aa1457 512d_sigaction='undef'
cdfe2e65
DIM
513d_siginfo_si_addr='undef'
514d_siginfo_si_band='undef'
515d_siginfo_si_errno='undef'
516d_siginfo_si_pid='undef'
517d_siginfo_si_status='undef'
518d_siginfo_si_uid='undef'
519d_siginfo_si_value='undef'
2eacba2f 520d_signbit='undef'
983dbef6 521d_sigprocmask='undef'
08aa1457 522d_sigsetjmp='undef'
f0c420d9 523d_sin6_scope_id='define'
a04d9d89 524d_sitearch='define'
4059ba87 525d_snprintf='define'
18126d98 526d_sockaddr_in6='undef'
b8677e3b 527d_sockaddr_sa_len='undef'
49a78c82 528d_sockatmark='undef'
2ef53570 529d_sockatmarkproto='undef'
08aa1457 530d_socket='define'
93342173 531d_socklen_t='undef'
08aa1457 532d_sockpair='undef'
1b9c9cf5 533d_socks5_init='undef'
52b0428e 534d_sqrtl='undef'
10bc17b6
JH
535d_srand48_r='undef'
536d_srandom_r='undef'
2ef53570
JH
537d_sresgproto='undef'
538d_sresuproto='undef'
f40bbcbf 539d_stat='define'
08aa1457 540d_statblks='undef'
ea442100
JH
541d_statfs_f_flags='undef'
542d_statfs_s='undef'
7ee20c71 543d_static_inline='define'
ea442100 544d_statvfs='undef'
08aa1457 545d_stdio_cnt_lval='define'
546d_stdio_ptr_lval='define'
00b02797 547d_stdio_ptr_lval_nochange_cnt='define'
2ef53570 548d_stdio_ptr_lval_sets_cnt='undef'
e1f15930 549d_stdio_stream_array='undef'
08aa1457 550d_stdiobase='define'
551d_stdstdio='define'
08aa1457 552d_strcoll='define'
ef55c673 553d_strerror_l='undef'
10bc17b6 554d_strerror_r='undef'
b3c85772 555d_strftime='define'
392c4dfd
SH
556d_strlcat='undef'
557d_strlcpy='undef'
c94a4d04 558d_strnlen='undef'
08aa1457 559d_strtod='define'
bf634d4e 560d_strtod_l='undef'
08aa1457 561d_strtol='define'
3635cd84 562d_strtold='undef'
c94a4d04 563d_strtold_l='undef'
3635cd84 564d_strtoll='undef'
d0e6d399 565d_strtoq='undef'
08aa1457 566d_strtoul='define'
e1f15930 567d_strtoull='undef'
3635cd84 568d_strtouq='undef'
08aa1457 569d_strxfrm='define'
570d_suidsafe='undef'
571d_symlink='undef'
572d_syscall='undef'
2ef53570 573d_syscallproto='undef'
08aa1457 574d_sysconf='undef'
575d_sysernlst=''
576d_syserrlst='define'
577d_system='define'
578d_tcgetpgrp='undef'
579d_tcsetpgrp='undef'
580d_telldir='define'
c4d53692 581d_telldirproto='define'
3adf3699 582d_tgamma='undef'
c94a4d04 583d_thread_safe_nl_langinfo_l='undef'
08aa1457 584d_time='define'
4b7e285e 585d_timegm='undef'
08aa1457 586d_times='define'
10bc17b6
JH
587d_tm_tm_gmtoff='undef'
588d_tm_tm_zone='undef'
589d_tmpnam_r='undef'
3adf3699 590d_trunc='undef'
08aa1457 591d_truncate='undef'
4842dad7 592d_truncl='undef'
10bc17b6 593d_ttyname_r='undef'
08aa1457 594d_tzname='define'
2f21f076 595d_u32align='define'
4e0554ec 596d_ualarm='undef'
08aa1457 597d_umask='define'
b2af26b1 598d_uname='define'
bd89102f 599d_union_semun='define'
d226c0a2 600d_unlinkat='undef'
758a5d79 601d_unordered='undef'
9c8463ea 602d_unsetenv='undef'
ecb44b8e 603d_uselocale='undef'
4e0554ec 604d_usleep='undef'
2ef53570 605d_usleepproto='undef'
ea442100 606d_ustat='undef'
4ea817c6 607d_vendorarch='undef'
52b0428e 608d_vendorbin='undef'
e1f15930 609d_vendorlib='undef'
392c4dfd 610d_vendorscript='undef'
08aa1457 611d_vfork='undef'
612d_void_closedir='undef'
613d_voidsig='define'
614d_voidtty=''
4059ba87 615d_vsnprintf='define'
08aa1457 616d_wait4='undef'
f55ee38a 617d_waitpid='define'
694625fc 618d_wcscmp='define'
08aa1457 619d_wcstombs='define'
694625fc 620d_wcsxfrm='define'
08aa1457 621d_wctomb='define'
4e0554ec 622d_writev='undef'
08aa1457 623d_xenix='undef'
624date='date'
625db_hashtype='int'
626db_prefixtype='int'
8839b9e4
GS
627db_version_major='0'
628db_version_minor='0'
629db_version_patch='0'
a1af5834 630default_inc_excludes_dot='undef'
08aa1457 631direntrytype='struct direct'
632dlext='dll'
07ba5892 633dlsrc='dl_win32.xs'
44521f3a 634doubleinfbytes='0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x7f'
bade9271 635doublekind='3'
ed3917fd 636doublemantbits='52'
44521f3a 637doublenanbytes='0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x7f'
07ba5892 638doublesize='8'
890c2948 639drand01='Perl_drand48()'
10bc17b6 640drand48_r_proto='0'
5ac1e9b2 641dtrace=''
48462a74 642dynamic_ext='Socket IO Fcntl Opcode SDBM_File attributes'
08aa1457 643eagain='EAGAIN'
93341792 644ebcdic='undef'
08aa1457 645echo='echo'
646egrep='egrep'
647emacs=''
10bc17b6
JH
648endgrent_r_proto='0'
649endhostent_r_proto='0'
650endnetent_r_proto='0'
651endprotoent_r_proto='0'
652endpwent_r_proto='0'
653endservent_r_proto='0'
08aa1457 654eunicefix=':'
655exe_ext='.exe'
656expr='expr'
bfb7748a 657extensions='~static_ext~ ~dynamic_ext~ ~nonxs_ext~'
955d1b3d 658extern_C='extern'
8839b9e4 659extras=''
9423c6fc
GS
660fflushNULL='define'
661fflushall='undef'
08aa1457 662find='find'
663firstmakefile='makefile'
664flex=''
c623ac67 665fpossize='8'
08aa1457 666fpostype='fpos_t'
667freetype='void'
392c4dfd 668from=':'
e1f15930 669full_ar=''
08aa1457 670full_csh=''
671full_sed=''
392c4dfd 672gccansipedantic=''
8839b9e4 673gccosandvers=''
08aa1457 674gccversion=''
10bc17b6
JH
675getgrent_r_proto='0'
676getgrgid_r_proto='0'
677getgrnam_r_proto='0'
678gethostbyaddr_r_proto='0'
679gethostbyname_r_proto='0'
680gethostent_r_proto='0'
681getlogin_r_proto='0'
682getnetbyaddr_r_proto='0'
683getnetbyname_r_proto='0'
684getnetent_r_proto='0'
685getprotobyname_r_proto='0'
686getprotobynumber_r_proto='0'
687getprotoent_r_proto='0'
688getpwent_r_proto='0'
689getpwnam_r_proto='0'
690getpwuid_r_proto='0'
691getservbyname_r_proto='0'
692getservbyport_r_proto='0'
693getservent_r_proto='0'
694getspnam_r_proto='0'
539a3d6c
GS
695gidformat='"ld"'
696gidsign='-1'
697gidsize='4'
08aa1457 698gidtype='gid_t'
699glibpth='/usr/shlib /lib/pa1.1 /usr/lib/large /lib /usr/lib /usr/lib/386 /lib/386 /lib/large /usr/lib/small /lib/small /usr/ccs/lib /usr/ucblib /usr/shlib '
8839b9e4 700gmake='gmake'
10bc17b6 701gmtime_r_proto='0'
392c4dfd 702gnulibc_version=''
08aa1457 703grep='grep'
704groupcat=''
705groupstype='gid_t'
07ba5892 706gzip='gzip'
08aa1457 707h_fcntl='false'
708h_sysfile='true'
709hint='recommended'
710hostcat='ypcat hosts'
392c4dfd
SH
711html1dir=' '
712html1direxp=''
713html3dir=' '
714html3direxp=''
539a3d6c
GS
715i16size='2'
716i16type='short'
717i32size='4'
718i32type='long'
719i64size='8'
720i64type='__int64'
721i8size='1'
722i8type='char'
93341792 723i_arpainet='define'
470dd224 724i_bfd='undef'
08aa1457 725i_bsdioctl=''
10bc17b6 726i_crypt='undef'
08aa1457 727i_db='undef'
728i_dbm='undef'
729i_dirent='define'
08aa1457 730i_dlfcn='define'
470dd224 731i_execinfo='undef'
08aa1457 732i_fcntl='define'
2f6b96eb 733i_fenv='undef'
758a5d79
JH
734i_fp='undef'
735i_fp_class='undef'
eacd03c1 736i_gdbm='undef'
3ab94133
AD
737i_gdbm_ndbm='undef'
738i_gdbmndbm='undef'
eacd03c1 739i_grp='undef'
792d8dab 740i_ieeefp='undef'
327c3667 741i_inttypes='undef'
2765b840 742i_langinfo='undef'
8839b9e4 743i_libutil='undef'
08aa1457 744i_locale='define'
c4d53692 745i_machcthr='undef'
08aa1457 746i_malloc='define'
1cd66f7c 747i_mallocmalloc='undef'
ea442100 748i_mntent='undef'
08aa1457 749i_ndbm='undef'
07ba5892 750i_netdb='undef'
08aa1457 751i_neterrno='undef'
e1f15930 752i_netinettcp='undef'
08aa1457 753i_niin='undef'
c4d53692 754i_poll='undef'
3813c136 755i_prot='undef'
e1f15930 756i_pthread='undef'
08aa1457 757i_pwd='undef'
4e5044f0 758i_quadmath='undef'
30f6094b 759i_rpcsvcdbm='undef'
08aa1457 760i_sgtty='undef'
e1f15930
GS
761i_shadow='undef'
762i_socks='undef'
bd31be4b 763i_stdbool='undef'
83f6d82b 764i_stdint='undef'
08aa1457 765i_stdlib='define'
923fc586 766i_sunmath='undef'
327c3667 767i_sysaccess='undef'
08aa1457 768i_sysdir='undef'
769i_sysfile='undef'
770i_sysfilio='define'
771i_sysin='undef'
772i_sysioctl='undef'
93342173
GS
773i_syslog='undef'
774i_sysmman='undef'
775i_sysmode='undef'
ea442100 776i_sysmount='undef'
08aa1457 777i_sysndir='undef'
778i_sysparam='undef'
3420cd60 779i_syspoll='undef'
08aa1457 780i_sysresrc='undef'
327c3667 781i_syssecrt='undef'
08aa1457 782i_sysselct='undef'
49a78c82 783i_syssockio='undef'
10bc17b6 784i_sysstat='define'
ea442100
JH
785i_sysstatfs='undef'
786i_sysstatvfs='undef'
08aa1457 787i_systime='undef'
788i_systimek='undef'
789i_systimes='undef'
790i_systypes='define'
c4d53692 791i_sysuio='undef'
08aa1457 792i_sysun='undef'
93342173 793i_sysutsname='undef'
ea442100 794i_sysvfs='undef'
08aa1457 795i_syswait='undef'
796i_termio='undef'
797i_termios='undef'
798i_time='define'
799i_unistd='undef'
ea442100 800i_ustat='undef'
08aa1457 801i_utime='define'
08aa1457 802i_vfork='undef'
c94a4d04 803i_wchar='undef'
38ab612a 804i_xlocale='undef'
104f9d64 805ignore_versioned_solibs=''
3635cd84 806inc_version_list=''
1761cee5 807inc_version_list_init='0'
08aa1457 808incpath=''
809inews=''
3bf969c5 810initialinstalllocation=''
0cb96387
GS
811installarchlib='~INST_TOP~~INST_VER~\lib~INST_ARCH~'
812installbin='~INST_TOP~~INST_VER~\bin~INST_ARCH~'
392c4dfd
SH
813installhtml1dir=''
814installhtml3dir=''
10bc17b6
JH
815installhtmldir='~INST_TOP~~INST_VER~\html'
816installhtmlhelpdir='~INST_TOP~~INST_VER~\htmlhelp'
e5a95ffb
GS
817installman1dir='~INST_TOP~~INST_VER~\man\man1'
818installman3dir='~INST_TOP~~INST_VER~\man\man3'
e1f15930
GS
819installprefix='~INST_TOP~~INST_VER~'
820installprefixexp='~INST_TOP~~INST_VER~'
e5a95ffb
GS
821installprivlib='~INST_TOP~~INST_VER~\lib'
822installscript='~INST_TOP~~INST_VER~\bin'
0cb96387 823installsitearch='~INST_TOP~\site~INST_VER~\lib~INST_ARCH~'
93342173 824installsitebin='~INST_TOP~~INST_VER~\bin~INST_ARCH~'
392c4dfd
SH
825installsitehtml1dir=''
826installsitehtml3dir=''
e5a95ffb 827installsitelib='~INST_TOP~\site~INST_VER~\lib'
392c4dfd
SH
828installsiteman1dir=''
829installsiteman3dir=''
830installsitescript=''
e1f15930 831installstyle='lib'
c4d53692 832installusrbinperl='undef'
4ea817c6 833installvendorarch=''
52b0428e 834installvendorbin=''
392c4dfd
SH
835installvendorhtml1dir=''
836installvendorhtml3dir=''
e1f15930 837installvendorlib=''
392c4dfd
SH
838installvendorman1dir=''
839installvendorman3dir=''
840installvendorscript=''
08aa1457 841intsize='4'
4b661809 842issymlink=''
539a3d6c
GS
843ivdformat='"ld"'
844ivsize='4'
845ivtype='long'
52b0428e 846known_extensions='~static_ext~ ~dynamic_ext~ ~nonxs_ext~'
08aa1457 847ksh=''
66a514d8 848ld='link'
aa4575bf
GS
849lddlflags='-dll ~LINK_FLAGS~'
850ldflags='~LINK_FLAGS~'
8839b9e4 851ldflags_uselargefiles=''
e1f15930 852ldlibpthname=''
08aa1457 853less='less'
854lib_ext='.lib'
855libc='msvcrt.lib'
d07c2202 856libperl='perl.lib'
07ba5892
GS
857libpth=''
858libs=''
93342173
GS
859libsdirs=''
860libsfiles=''
861libsfound=''
862libspath=''
665ff1e9
NC
863libswanted='net socket inet nsl nm ndbm gdbm dbm db malloc dl ld sun m c cposix posix ndir dir crypt ucb bsd BSD PW x'
864libswanted_uselargefiles='net socket inet nsl nm ndbm gdbm dbm db malloc dl ld sun m c cposix posix ndir dir crypt ucb bsd BSD PW x'
08aa1457 865line='line'
866lint=''
867lkflags=''
868ln=''
f7c603cb 869lns='copy'
10bc17b6 870localtime_r_proto='0'
08aa1457 871locincpth='/usr/local/include /opt/local/include /usr/gnu/include /opt/gnu/include /usr/GNU/include /opt/GNU/include'
872loclibpth='/usr/local/lib /opt/local/lib /usr/gnu/lib /opt/gnu/lib /usr/GNU/lib /opt/GNU/lib'
44521f3a 873longdblinfbytes='0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x7f'
dc91db6c 874longdblkind='0'
ed3917fd 875longdblmantbits='52'
44521f3a 876longdblnanbytes='0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x7f'
95458265 877longdblsize='8'
bdaec6b3 878longlongsize='8'
08aa1457 879longsize='4'
880lp=''
881lpr=''
f7c603cb 882ls='dir'
f7e8b52a
SH
883lseeksize='4'
884lseektype='long'
a724edfe 885mad='undef'
08aa1457 886mail=''
887mailx=''
888make='nmake'
07ba5892 889make_set_make='#'
08aa1457 890mallocobj='malloc.o'
891mallocsrc='malloc.c'
892malloctype='void *'
e5a95ffb
GS
893man1dir='~INST_TOP~~INST_VER~\man\man1'
894man1direxp='~INST_TOP~~INST_VER~\man\man1'
08aa1457 895man1ext='1'
e5a95ffb
GS
896man3dir='~INST_TOP~~INST_VER~\man\man3'
897man3direxp='~INST_TOP~~INST_VER~\man\man3'
08aa1457 898man3ext='3'
08aa1457 899mips_type=''
392c4dfd 900mistrustnm=''
08aa1457 901mkdir='mkdir'
fe749a9f 902mmaptype='void *'
08aa1457 903modetype='mode_t'
904more='more /e'
d31f3823 905multiarch='undef'
08aa1457 906mv=''
907myarchname='MSWin32'
908mydomain=''
909myhostname=''
910myuname=''
911n='-n'
2cc61e15 912need_va_copy='undef'
07ba5892
GS
913netdb_hlen_type='int'
914netdb_host_type='char *'
915netdb_name_type='char *'
916netdb_net_type='long'
917nm=''
08aa1457 918nm_opt=''
919nm_so_opt=''
eab60bb1 920nonxs_ext='Errno'
08aa1457 921nroff=''
8839b9e4
GS
922nvEUformat='"E"'
923nvFUformat='"F"'
924nvGUformat='"G"'
b68c599a 925nv_overflows_integers_at='256.0*256.0*256.0*256.0*256.0*256.0*2.0*2.0*2.0*2.0*2.0'
44490ef2 926nv_preserves_uv_bits='32'
fd47df60
JH
927nveformat='"e"'
928nvfformat='"f"'
929nvgformat='"g"'
5a14060a 930nvmantbits='52'
539a3d6c
GS
931nvsize='8'
932nvtype='double'
08aa1457 933o_nonblock='O_NONBLOCK'
934obj_ext='.obj'
c4d53692 935old_pthread_create_joinable=''
08aa1457 936optimize='-O'
937orderlib='false'
07ba5892
GS
938osname='MSWin32'
939osvers='4.0'
3b777bb4 940otherlibdirs=''
08aa1457 941package='perl5'
942pager='more /e'
943passcat=''
a9277f44 944patchlevel='~PERL_VERSION~'
08aa1457 945path_sep=';'
93342173 946perl5=''
08aa1457 947perl='perl'
a9277f44 948perl_patchlevel='~PERL_PATCHLEVEL~'
7ee20c71 949perl_static_inline='static __inline'
08aa1457 950perladmin=''
8839b9e4 951perllibs='~libs~'
0cb96387 952perlpath='~INST_TOP~~INST_VER~\bin~INST_ARCH~\perl.exe'
f7c603cb 953pg=''
08aa1457 954phostname='hostname'
924b3ec4 955pidtype='int'
08aa1457 956plibpth=''
957pmake=''
958pr=''
c73bb82c 959prefix='~INST_TOP~'
334a36dc 960prefixexp='~INST_TOP~'
e5a95ffb
GS
961privlib='~INST_TOP~~INST_VER~\lib'
962privlibexp='~INST_TOP~~INST_VER~\lib'
a838cfe5 963procselfexe=''
08aa1457 964prototype='define'
07ba5892 965ptrsize='4'
93342173 966quadkind='5'
539a3d6c 967quadtype='__int64'
890c2948
TC
968randbits='48'
969randfunc='Perl_drand48'
10bc17b6 970random_r_proto='0'
890c2948 971randseedtype='U32'
d55594ae 972ranlib='rem'
08aa1457 973rd_nodata='-1'
10bc17b6
JH
974readdir64_r_proto='0'
975readdir_r_proto='0'
93342173 976revision='5'
f7c603cb 977rm='del'
955d1b3d 978rm_try=''
08aa1457 979rmail=''
392c4dfd 980run=''
08aa1457 981runnm='true'
4b7e285e
JD
982sGMTIME_max="2147483647"
983sGMTIME_min="0"
73e6e416
MB
984sLOCALTIME_max="2147483647"
985sLOCALTIME_min="0"
6b356c8e
JH
986sPRIEUldbl='"E"'
987sPRIFUldbl='"F"'
988sPRIGUldbl='"G"'
989sPRIXU64='"lX"'
e1f15930
GS
990sPRId64='"ld"'
991sPRIeldbl='"e"'
992sPRIfldbl='"f"'
993sPRIgldbl='"g"'
994sPRIi64='"li"'
995sPRIo64='"lo"'
996sPRIu64='"lu"'
997sPRIx64='"lx"'
8839b9e4 998sSCNfldbl='"f"'
104f9d64 999sched_yield=''
e5a95ffb
GS
1000scriptdir='~INST_TOP~~INST_VER~\bin'
1001scriptdirexp='~INST_TOP~~INST_VER~\bin'
08aa1457 1002sed='sed'
890c2948 1003seedfunc='Perl_drand48_init'
327c3667 1004selectminbits='32'
55d25626 1005selecttype='Perl_fd_set *'
08aa1457 1006sendmail='blat'
10bc17b6
JH
1007setgrent_r_proto='0'
1008sethostent_r_proto='0'
1009setlocale_r_proto='0'
1010setnetent_r_proto='0'
1011setprotoent_r_proto='0'
1012setpwent_r_proto='0'
1013setservent_r_proto='0'
08aa1457 1014sh='cmd /x /c'
1015shar=''
07ba5892 1016sharpbang='#!'
08aa1457 1017shmattype='void *'
1018shortsize='2'
07ba5892
GS
1019shrpenv=''
1020shsharp='true'
8839b9e4 1021sig_count='26'
1018e26f
NIS
1022sig_name='ZERO HUP INT QUIT ILL NUM05 NUM06 NUM07 FPE KILL NUM10 SEGV NUM12 PIPE ALRM TERM NUM16 NUM17 NUM18 NUM19 CHLD BREAK ABRT STOP NUM24 CONT CLD'
1023sig_name_init='"ZERO", "HUP", "INT", "QUIT", "ILL", "NUM05", "NUM06", "NUM07", "FPE", "KILL", "NUM10", "SEGV", "NUM12", "PIPE", "ALRM", "TERM", "NUM16", "NUM17", "NUM18", "NUM19", "CHLD", "BREAK", "ABRT", "STOP", "NUM24", "CONT", "CLD", 0'
c3e38355 1024sig_num='0 1 2 21 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 20'
1018e26f 1025sig_num_init='0, 1, 2, 21, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 20, 0'
66fe0623 1026sig_size='27'
08aa1457 1027signal_t='void'
0cb96387
GS
1028sitearch='~INST_TOP~\site~INST_VER~\lib~INST_ARCH~'
1029sitearchexp='~INST_TOP~\site~INST_VER~\lib~INST_ARCH~'
52b0428e
GS
1030sitebin='~INST_TOP~\site~INST_VER~\bin~INST_ARCH~'
1031sitebinexp='~INST_TOP~\site~INST_VER~\bin~INST_ARCH~'
392c4dfd
SH
1032sitehtml1dir=''
1033sitehtml1direxp=''
1034sitehtml3dir=''
1035sitehtml3direxp=''
e5a95ffb 1036sitelib='~INST_TOP~\site~INST_VER~\lib'
4ea817c6 1037sitelib_stem=''
e5a95ffb 1038sitelibexp='~INST_TOP~\site~INST_VER~\lib'
392c4dfd
SH
1039siteman1dir=''
1040siteman1direxp=''
1041siteman3dir=''
1042siteman3direxp=''
e1f15930
GS
1043siteprefix='~INST_TOP~\site~INST_VER~'
1044siteprefixexp='~INST_TOP~\site~INST_VER~'
392c4dfd
SH
1045sitescript=''
1046sitescriptexp=''
a13ea748 1047sizesize='4'
08aa1457 1048sizetype='size_t'
1049sleep=''
1050smail=''
07ba5892 1051so='dll'
08aa1457 1052sockethdr=''
1053socketlib=''
10cc9d2a 1054socksizetype='int'
08aa1457 1055sort='sort'
1056spackage='Perl5'
f7c603cb 1057spitshell=''
10bc17b6
JH
1058srand48_r_proto='0'
1059srandom_r_proto='0'
07ba5892 1060src=''
08aa1457 1061ssizetype='int'
7ee20c71
NC
1062st_ino_sign='1'
1063st_ino_size='4'
dc050285 1064startperl='#!perl'
07ba5892 1065startsh='#!/bin/sh'
7e050124 1066static_ext=' '
d55594ae 1067stdchar='char'
08aa1457 1068stdio_base='((fp)->_base)'
1069stdio_bufsiz='((fp)->_cnt + (fp)->_ptr - (fp)->_base)'
1070stdio_cnt='((fp)->_cnt)'
07ba5892 1071stdio_filbuf=''
08aa1457 1072stdio_ptr='((fp)->_ptr)'
e1f15930 1073stdio_stream_array=''
10bc17b6 1074strerror_r_proto='0'
08aa1457 1075strings='/usr/include/string.h'
1076submit=''
9b352029 1077subversion='~PERL_SUBVERSION~'
08aa1457 1078sysman='/usr/man/man1'
1079tail=''
1080tar=''
8839b9e4 1081targetarch=''
f08226b8 1082targetsh='cmd /x /c'
08aa1457 1083tbl=''
07ba5892 1084tee=''
f7c603cb 1085test=''
08aa1457 1086timeincl='/usr/include/sys/time.h '
1087timetype='time_t'
10bc17b6 1088tmpnam_r_proto='0'
392c4dfd 1089to=':'
08aa1457 1090touch='touch'
f7c603cb 1091tr=''
93341792 1092trnl='\012'
08aa1457 1093troff=''
10bc17b6 1094ttyname_r_proto='0'
539a3d6c
GS
1095u16size='2'
1096u16type='unsigned short'
1097u32size='4'
1098u32type='unsigned long'
1099u64size='8'
1100u64type='unsigned __int64'
1101u8size='1'
1102u8type='unsigned char'
1103uidformat='"ld"'
e1f15930 1104uidsign='-1'
539a3d6c 1105uidsize='4'
08aa1457 1106uidtype='uid_t'
1107uname='uname'
1108uniq='uniq'
539a3d6c 1109uquadtype='unsigned __int64'
392c4dfd 1110use5005threads='undef'
10cc9d2a
JH
1111use64bitall='undef'
1112use64bitint='undef'
f6a82ade 1113usecbacktrace='undef'
5440bc8e 1114usecrosscompile='undef'
1be1b388 1115usedevel='undef'
08aa1457 1116usedl='define'
5ac1e9b2 1117usedtrace='undef'
1de32f2a 1118usefaststdio='undef'
ffb04cf1 1119useithreads='undef'
2982a345 1120usekernprocpathname='undef'
2f21f076 1121uselargefiles='undef'
e1f15930 1122uselongdouble='undef'
2e67a714 1123usemallocwrap='define'
e1f15930 1124usemorebits='undef'
104f9d64 1125usemultiplicity='undef'
08aa1457 1126usemymalloc='n'
1127usenm='false'
ae60cb46 1128usensgetexecutablepath='undef'
07ba5892 1129useopcode='true'
8c847e66 1130useperlio='define'
66aa1127 1131useposix='true'
d6d36205 1132usequadmath='undef'
9514c62b 1133usereentrant='undef'
392c4dfd 1134userelocatableinc='undef'
11bf1e5c 1135useshrplib='true'
2f21f076 1136usesitecustomize='undef'
e1f15930 1137usesocks='undef'
924b3ec4 1138usethreads='undef'
e1f15930 1139usevendorprefix='undef'
08aa1457 1140usevfork='false'
1141usrinc='/usr/include'
1142uuname=''
10bc17b6 1143uvXUformat='"lX"'
539a3d6c
GS
1144uvoformat='"lo"'
1145uvsize='4'
1146uvtype='unsigned long'
1147uvuformat='"lu"'
1148uvxformat='"lx"'
4ea817c6
GS
1149vendorarch=''
1150vendorarchexp=''
52b0428e
GS
1151vendorbin=''
1152vendorbinexp=''
392c4dfd
SH
1153vendorhtml1dir=' '
1154vendorhtml1direxp=''
1155vendorhtml3dir=' '
1156vendorhtml3direxp=''
e1f15930 1157vendorlib=''
4ea817c6 1158vendorlib_stem=''
e1f15930 1159vendorlibexp=''
392c4dfd
SH
1160vendorman1dir=' '
1161vendorman1direxp=''
1162vendorman3dir=' '
1163vendorman3direxp=''
e1f15930
GS
1164vendorprefix=''
1165vendorprefixexp=''
392c4dfd
SH
1166vendorscript=''
1167vendorscriptexp=''
1bdff581 1168version=''
392c4dfd 1169version_patchlevel_string=''
d56c5707 1170versiononly='undef'
08aa1457 1171vi=''
08aa1457 1172xlibpth='/usr/lib/386 /lib/386'
392c4dfd
SH
1173yacc='yacc'
1174yaccflags=''
08aa1457 1175zcat=''
07ba5892 1176zip='zip'
e1f15930 1177PERL_REVISION='~PERL_REVISION~'
e1f15930 1178PERL_SUBVERSION='~PERL_SUBVERSION~'
ffb04cf1 1179PERL_VERSION='~PERL_VERSION~'
273cf8d1
GS
1180PERL_API_REVISION='~PERL_API_REVISION~'
1181PERL_API_SUBVERSION='~PERL_API_SUBVERSION~'
1182PERL_API_VERSION='~PERL_API_VERSION~'
a9277f44 1183PERL_PATCHLEVEL='~PERL_PATCHLEVEL~'
392c4dfd 1184PERL_CONFIG_SH='true'