This is a live mirror of the Perl 5 development currently hosted at https://github.com/perl/perl5
replace ckWARN macros with functions
[perl5.git] / warnings.h
index 8c1bbf7..27e240e 100644 (file)
@@ -1,4 +1,5 @@
-/* !!!!!!!   DO NOT EDIT THIS FILE   !!!!!!!
+/* -*- buffer-read-only: t -*-
+   !!!!!!!   DO NOT EDIT THIS FILE   !!!!!!!
    This file is built by warnings.pl
    Any changes made here will be lost!
 */
 #define G_WARN_ONCE            8       /* set if 'once' ever enabled */
 #define G_WARN_ALL_MASK                (G_WARN_ALL_ON|G_WARN_ALL_OFF)
 
-#define WARN_STD               Nullsv
-#define WARN_ALL               (Nullsv+1)      /* use warnings 'all' */
-#define WARN_NONE              (Nullsv+2)      /* no  warnings 'all' */
+#define pWARN_STD              Nullsv
+#define pWARN_ALL              (Nullsv+1)      /* use warnings 'all' */
+#define pWARN_NONE             (Nullsv+2)      /* no  warnings 'all' */
+
+#define specialWARN(x)         ((x) == pWARN_STD || (x) == pWARN_ALL ||        \
+                                (x) == pWARN_NONE)
+
+/* Warnings Categories added in Perl 5.008 */
+
+#define WARN_ALL               0
+#define WARN_CLOSURE           1
+#define WARN_DEPRECATED                2
+#define WARN_EXITING           3
+#define WARN_GLOB              4
+#define WARN_IO                        5
+#define WARN_CLOSED            6
+#define WARN_EXEC              7
+#define WARN_LAYER             8
+#define WARN_NEWLINE           9
+#define WARN_PIPE              10
+#define WARN_UNOPENED          11
+#define WARN_MISC              12
+#define WARN_NUMERIC           13
+#define WARN_ONCE              14
+#define WARN_OVERFLOW          15
+#define WARN_PACK              16
+#define WARN_PORTABLE          17
+#define WARN_RECURSION         18
+#define WARN_REDEFINE          19
+#define WARN_REGEXP            20
+#define WARN_SEVERE            21
+#define WARN_DEBUGGING         22
+#define WARN_INPLACE           23
+#define WARN_INTERNAL          24
+#define WARN_MALLOC            25
+#define WARN_SIGNAL            26
+#define WARN_SUBSTR            27
+#define WARN_SYNTAX            28
+#define WARN_AMBIGUOUS         29
+#define WARN_BAREWORD          30
+#define WARN_DIGIT             31
+#define WARN_PARENTHESIS       32
+#define WARN_PRECEDENCE                33
+#define WARN_PRINTF            34
+#define WARN_PROTOTYPE         35
+#define WARN_QW                        36
+#define WARN_RESERVED          37
+#define WARN_SEMICOLON         38
+#define WARN_TAINT             39
+#define WARN_THREADS           40
+#define WARN_UNINITIALIZED     41
+#define WARN_UNPACK            42
+#define WARN_UNTIE             43
+#define WARN_UTF8              44
+#define WARN_VOID              45
+
+/* Warnings Categories added in Perl 5.009 */
+
+#define WARN_ASSERTIONS                46
+
+#define WARNsize               12
+#define WARN_ALLstring         "\125\125\125\125\125\125\125\125\125\125\125\125"
+#define WARN_NONEstring                "\0\0\0\0\0\0\0\0\0\0\0\0"
+#define WARN_TAINTstring       "\0\0\0\0\0\0\0\0\0\100\0\0"
+
+#define isLEXWARN_on   (PL_curcop->cop_warnings != pWARN_STD)
+#define isLEXWARN_off  (PL_curcop->cop_warnings == pWARN_STD)
+#define isWARN_ONCE    (PL_dowarn & (G_WARN_ON|G_WARN_ONCE))
+#define isWARN_on(c,x) (IsSet(SvPVX_const(c), 2*(x)))
+#define isWARNf_on(c,x)        (IsSet(SvPVX_const(c), 2*(x)+1))
+
+#define ckWARN(w)              Perl_ckwarn(aTHX_ packWARN(w))
+#define ckWARN2(w1,w2)         Perl_ckwarn(aTHX_ packWARN2(w1,w2))
+#define ckWARN3(w1,w2,w3)      Perl_ckwarn(aTHX_ packWARN3(w1,w2,w3))
+#define ckWARN4(w1,w2,w3,w4)   Perl_ckwarn(aTHX_ packWARN4(w1,w2,w3,w4))
+
+#define ckWARN_d(w)            Perl_ckwarn_d(aTHX_ packWARN(w))
+#define ckWARN2_d(w1,w2)       Perl_ckwarn_d(aTHX_ packWARN2(w1,w2))
+#define ckWARN3_d(w1,w2,w3)    Perl_ckwarn_d(aTHX_ packWARN3(w1,w2,w3))
+#define ckWARN4_d(w1,w2,w3,w4) Perl_ckwarn_d(aTHX_ packWARN4(w1,w2,w3,w4))
 
-#define specialWARN(x)         ((x) == WARN_STD || (x) == WARN_ALL ||  \
-                                (x) == WARN_NONE)
+#define packWARN(a)            (a                                      )
+#define packWARN2(a,b)         ((a) | ((b)<<8)                         )
+#define packWARN3(a,b,c)       ((a) | ((b)<<8) | ((c)<<16)             )
+#define packWARN4(a,b,c,d)     ((a) | ((b)<<8) | ((c)<<16) | ((d) <<24))
+
+#define unpackWARN1(x)         ((x)        & 0xFF)
+#define unpackWARN2(x)         (((x) >>8)  & 0xFF)
+#define unpackWARN3(x)         (((x) >>16) & 0xFF)
+#define unpackWARN4(x)         (((x) >>24) & 0xFF)
 
 #define ckDEAD(x)                                                      \
           ( ! specialWARN(PL_curcop->cop_warnings) &&                  \
-           IsSet(SvPVX(PL_curcop->cop_warnings), 2*x+1))
-
-#define ckWARN(x)                                                      \
-       ( (PL_curcop->cop_warnings != WARN_STD &&                       \
-          PL_curcop->cop_warnings != WARN_NONE &&                      \
-             (PL_curcop->cop_warnings == WARN_ALL ||                   \
-              IsSet(SvPVX(PL_curcop->cop_warnings), 2*x) ) )           \
-         || (PL_curcop->cop_warnings == WARN_STD && PL_dowarn & G_WARN_ON) )
-
-#define ckWARN2(x,y)                                                   \
-         ( (PL_curcop->cop_warnings != WARN_STD  &&                    \
-            PL_curcop->cop_warnings != WARN_NONE &&                    \
-             (PL_curcop->cop_warnings == WARN_ALL ||                   \
-               IsSet(SvPVX(PL_curcop->cop_warnings), 2*x)  ||          \
-               IsSet(SvPVX(PL_curcop->cop_warnings), 2*y) ) )          \
-           ||  (PL_curcop->cop_warnings == WARN_STD && PL_dowarn & G_WARN_ON) )
-
-#define ckWARN_d(x)                                                    \
-         (PL_curcop->cop_warnings == WARN_STD ||                       \
-          PL_curcop->cop_warnings == WARN_ALL ||                       \
-            (PL_curcop->cop_warnings != WARN_NONE &&                   \
-             IsSet(SvPVX(PL_curcop->cop_warnings), 2*x) ) )
-
-#define ckWARN2_d(x,y)                                                 \
-         (PL_curcop->cop_warnings == WARN_STD ||                       \
-          PL_curcop->cop_warnings == WARN_ALL ||                       \
-            (PL_curcop->cop_warnings != WARN_NONE &&                   \
-               (IsSet(SvPVX(PL_curcop->cop_warnings), 2*x)  ||         \
-                IsSet(SvPVX(PL_curcop->cop_warnings), 2*y) ) ) )
-
-
-#define isLEXWARN_on   (PL_curcop->cop_warnings != WARN_STD)
-#define isLEXWARN_off  (PL_curcop->cop_warnings == WARN_STD)
-#define isWARN_ONCE    (PL_dowarn & (G_WARN_ON|G_WARN_ONCE))
-#define isWARN_on(c,x) (IsSet(SvPVX(c), 2*(x)))
-
-#define WARN_IO                        0
-#define WARN_CLOSED            1
-#define WARN_EXEC              2
-#define WARN_NEWLINE           3
-#define WARN_PIPE              4
-#define WARN_UNOPENED          5
-#define WARN_MISC              6
-#define WARN_NUMERIC           7
-#define WARN_ONCE              8
-#define WARN_RECURSION         9
-#define WARN_REDEFINE          10
-#define WARN_SEVERE            11
-#define WARN_DEBUGGING         12
-#define WARN_INPLACE           13
-#define WARN_INTERNAL          14
-#define WARN_SYNTAX            15
-#define WARN_AMBIGUOUS         16
-#define WARN_DEPRECATED                17
-#define WARN_DIGIT             18
-#define WARN_OCTAL             19
-#define WARN_PARENTHESIS       20
-#define WARN_PRECEDENCE                21
-#define WARN_PRINTF            22
-#define WARN_RESERVED          23
-#define WARN_SEMICOLON         24
-#define WARN_UNINITIALIZED     25
-#define WARN_UNSAFE            26
-#define WARN_CLOSURE           27
-#define WARN_OVERFLOW          28
-#define WARN_PORTABLE          29
-#define WARN_SIGNAL            30
-#define WARN_SUBSTR            31
-#define WARN_TAINT             32
-#define WARN_UNTIE             33
-#define WARN_UTF8              34
-#define WARN_VOID              35
-
-#define WARNsize               9
-#define WARN_ALLstring         "\125\125\125\125\125\125\125\125\125"
-#define WARN_NONEstring                "\0\0\0\0\0\0\0\0\0"
+           ( isWARNf_on(PL_curcop->cop_warnings, WARN_ALL) ||          \
+             isWARNf_on(PL_curcop->cop_warnings, unpackWARN1(x)) ||    \
+             isWARNf_on(PL_curcop->cop_warnings, unpackWARN2(x)) ||    \
+             isWARNf_on(PL_curcop->cop_warnings, unpackWARN3(x)) ||    \
+             isWARNf_on(PL_curcop->cop_warnings, unpackWARN4(x))))
 
 /* end of file warnings.h */
-
+/* ex: set ro: */