This is a live mirror of the Perl 5 development currently hosted at https://github.com/perl/perl5
Re: t/japh/abigail.t [PATCH]
[perl5.git] / warnings.h
1 /* !!!!!!!   DO NOT EDIT THIS FILE   !!!!!!!
2    This file is built by warnings.pl
3    Any changes made here will be lost!
4 */
5
6
7 #define Off(x)                  ((x) / 8)
8 #define Bit(x)                  (1 << ((x) % 8))
9 #define IsSet(a, x)             ((a)[Off(x)] & Bit(x))
10
11
12 #define G_WARN_OFF              0       /* $^W == 0 */
13 #define G_WARN_ON               1       /* -w flag and $^W != 0 */
14 #define G_WARN_ALL_ON           2       /* -W flag */
15 #define G_WARN_ALL_OFF          4       /* -X flag */
16 #define G_WARN_ONCE             8       /* set if 'once' ever enabled */
17 #define G_WARN_ALL_MASK         (G_WARN_ALL_ON|G_WARN_ALL_OFF)
18
19 #define pWARN_STD               Nullsv
20 #define pWARN_ALL               (Nullsv+1)      /* use warnings 'all' */
21 #define pWARN_NONE              (Nullsv+2)      /* no  warnings 'all' */
22
23 #define specialWARN(x)          ((x) == pWARN_STD || (x) == pWARN_ALL ||        \
24                                  (x) == pWARN_NONE)
25 #define WARN_ALL                0
26 #define WARN_CLOSURE            1
27 #define WARN_DEPRECATED         2
28 #define WARN_EXITING            3
29 #define WARN_GLOB               4
30 #define WARN_IO                 5
31 #define WARN_CLOSED             6
32 #define WARN_EXEC               7
33 #define WARN_LAYER              8
34 #define WARN_NEWLINE            9
35 #define WARN_PIPE               10
36 #define WARN_UNOPENED           11
37 #define WARN_MISC               12
38 #define WARN_NUMERIC            13
39 #define WARN_ONCE               14
40 #define WARN_OVERFLOW           15
41 #define WARN_PACK               16
42 #define WARN_PORTABLE           17
43 #define WARN_RECURSION          18
44 #define WARN_REDEFINE           19
45 #define WARN_REGEXP             20
46 #define WARN_SEVERE             21
47 #define WARN_DEBUGGING          22
48 #define WARN_INPLACE            23
49 #define WARN_INTERNAL           24
50 #define WARN_MALLOC             25
51 #define WARN_SIGNAL             26
52 #define WARN_SUBSTR             27
53 #define WARN_SYNTAX             28
54 #define WARN_AMBIGUOUS          29
55 #define WARN_BAREWORD           30
56 #define WARN_DIGIT              31
57 #define WARN_PARENTHESIS        32
58 #define WARN_PRECEDENCE         33
59 #define WARN_PRINTF             34
60 #define WARN_PROTOTYPE          35
61 #define WARN_QW                 36
62 #define WARN_RESERVED           37
63 #define WARN_SEMICOLON          38
64 #define WARN_TAINT              39
65 #define WARN_UNINITIALIZED      40
66 #define WARN_UNPACK             41
67 #define WARN_UNTIE              42
68 #define WARN_UTF8               43
69 #define WARN_VOID               44
70 #define WARN_Y2K                45
71
72 #define WARNsize                12
73 #define WARN_ALLstring          "\125\125\125\125\125\125\125\125\125\125\125\125"
74 #define WARN_NONEstring         "\0\0\0\0\0\0\0\0\0\0\0\0"
75 #define WARN_TAINTstring        "\0\0\0\0\0\0\0\0\0\100\0\0"
76
77 #define isLEXWARN_on    (PL_curcop->cop_warnings != pWARN_STD)
78 #define isLEXWARN_off   (PL_curcop->cop_warnings == pWARN_STD)
79 #define isWARN_ONCE     (PL_dowarn & (G_WARN_ON|G_WARN_ONCE))
80 #define isWARN_on(c,x)  (IsSet(SvPVX(c), 2*(x)))
81 #define isWARNf_on(c,x) (IsSet(SvPVX(c), 2*(x)+1))
82
83 #define ckWARN(x)                                                       \
84         ( (isLEXWARN_on && PL_curcop->cop_warnings != pWARN_NONE &&     \
85               (PL_curcop->cop_warnings == pWARN_ALL ||                  \
86                isWARN_on(PL_curcop->cop_warnings, x) ) )                \
87           || (isLEXWARN_off && PL_dowarn & G_WARN_ON) )
88
89 #define ckWARN2(x,y)                                                    \
90           ( (isLEXWARN_on && PL_curcop->cop_warnings != pWARN_NONE &&   \
91               (PL_curcop->cop_warnings == pWARN_ALL ||                  \
92                 isWARN_on(PL_curcop->cop_warnings, x)  ||               \
93                 isWARN_on(PL_curcop->cop_warnings, y) ) )               \
94             ||  (isLEXWARN_off && PL_dowarn & G_WARN_ON) )
95
96 #define ckWARN3(x,y,z)                                                  \
97           ( (isLEXWARN_on && PL_curcop->cop_warnings != pWARN_NONE &&   \
98               (PL_curcop->cop_warnings == pWARN_ALL ||                  \
99                 isWARN_on(PL_curcop->cop_warnings, x)  ||               \
100                 isWARN_on(PL_curcop->cop_warnings, y)  ||               \
101                 isWARN_on(PL_curcop->cop_warnings, z) ) )               \
102             ||  (isLEXWARN_off && PL_dowarn & G_WARN_ON) )
103
104 #define ckWARN4(x,y,z,t)                                                \
105           ( (isLEXWARN_on && PL_curcop->cop_warnings != pWARN_NONE &&   \
106               (PL_curcop->cop_warnings == pWARN_ALL ||                  \
107                 isWARN_on(PL_curcop->cop_warnings, x)  ||               \
108                 isWARN_on(PL_curcop->cop_warnings, y)  ||               \
109                 isWARN_on(PL_curcop->cop_warnings, z)  ||               \
110                 isWARN_on(PL_curcop->cop_warnings, t) ) )               \
111             ||  (isLEXWARN_off && PL_dowarn & G_WARN_ON) )
112
113 #define ckWARN_d(x)                                                     \
114           (isLEXWARN_off || PL_curcop->cop_warnings == pWARN_ALL ||     \
115              (PL_curcop->cop_warnings != pWARN_NONE &&                  \
116               isWARN_on(PL_curcop->cop_warnings, x) ) )
117
118 #define ckWARN2_d(x,y)                                                  \
119           (isLEXWARN_off || PL_curcop->cop_warnings == pWARN_ALL ||     \
120              (PL_curcop->cop_warnings != pWARN_NONE &&                  \
121                 (isWARN_on(PL_curcop->cop_warnings, x)  ||              \
122                  isWARN_on(PL_curcop->cop_warnings, y) ) ) )
123
124 #define ckWARN3_d(x,y,z)                                                \
125           (isLEXWARN_off || PL_curcop->cop_warnings == pWARN_ALL ||     \
126              (PL_curcop->cop_warnings != pWARN_NONE &&                  \
127                 (isWARN_on(PL_curcop->cop_warnings, x)  ||              \
128                  isWARN_on(PL_curcop->cop_warnings, y)  ||              \
129                  isWARN_on(PL_curcop->cop_warnings, z) ) ) )
130
131 #define ckWARN4_d(x,y,z,t)                                              \
132           (isLEXWARN_off || PL_curcop->cop_warnings == pWARN_ALL ||     \
133              (PL_curcop->cop_warnings != pWARN_NONE &&                  \
134                 (isWARN_on(PL_curcop->cop_warnings, x)  ||              \
135                  isWARN_on(PL_curcop->cop_warnings, y)  ||              \
136                  isWARN_on(PL_curcop->cop_warnings, z)  ||              \
137                  isWARN_on(PL_curcop->cop_warnings, t) ) ) )
138
139 #define packWARN(a)             (a                                 )
140 #define packWARN2(a,b)          ((a) | (b)<<8                      )
141 #define packWARN3(a,b,c)        ((a) | (b)<<8 | (c) <<16           )
142 #define packWARN4(a,b,c,d)      ((a) | (b)<<8 | (c) <<16 | (d) <<24)
143
144 #define unpackWARN1(x)          ((x)        & 0xFF)
145 #define unpackWARN2(x)          (((x) >>8)  & 0xFF)
146 #define unpackWARN3(x)          (((x) >>16) & 0xFF)
147 #define unpackWARN4(x)          (((x) >>24) & 0xFF)
148
149 #define ckDEAD(x)                                                       \
150            ( ! specialWARN(PL_curcop->cop_warnings) &&                  \
151             ( isWARNf_on(PL_curcop->cop_warnings, WARN_ALL) ||          \
152               isWARNf_on(PL_curcop->cop_warnings, unpackWARN1(x)) ||    \
153               isWARNf_on(PL_curcop->cop_warnings, unpackWARN2(x)) ||    \
154               isWARNf_on(PL_curcop->cop_warnings, unpackWARN3(x)) ||    \
155               isWARNf_on(PL_curcop->cop_warnings, unpackWARN4(x))))
156
157 /* end of file warnings.h */
158